ZynqBerryZero

ZynqBerryZero が来ました
今日注文した ZynqBerryZero が来ました。

ZynqBerryZero のリファレンス・デザインをやってみる1(Ubuntu 18.04 編)
なひたふさんから ZynqBerryZero のリファレンス・デザインの TE0727-zbzerodemo1-vivado_2019.2-build_15_20201109074058.zip をいただいたので、やってみようと思う。
ZynqBerryZero のリファレンス・デザインをやってみる2(Windows 10 編)
なひたふさんから ZynqBerryZero のリファレンス・デザインの TE0727-zbzerodemo1-vivado_2019.2-build_15_20201109074058.zip をいただいた。前回は、Ubuntu 18.04 LTS でやってみたが、エラーが発生して、先に進むことをあきらめた。今回は、Windows 10 で同様にリファレンス・デザインをやってみよう。
ZynqBerryZero のリファレンス・デザインをやってみる3(エラーを修正)
前回は、Windows 10 で同様にリファレンス・デザインを行って、Vivado 2019.2 のプロジェクトが作成できたが、タイミングでエラーになってしまった。今回は、そのタイミング・エラーを修正してみよう。

ZynqBerryZero で Lチカをやってみよう1(Vivado 2020.2 のプロジェクトを作成)
ZynqBerryZero で Lチカをやってみようと思う。
ZynqBerryZero で Lチカをやってみよう2(ブロックデザインの作成、ビットストリームの生成)
前回は、ZynqBerryZero で Lチカをやってみようということで、Vivado 2020.2 で blink プロジェクトを作成し、ブロックデザインを新規作成した。今回は、blink プロジェクトでブロックデザインを完成させて、論理合成、インプリメンテーション、ビットストリームの生成を行う。
ZynqBerryZero で Lチカをやってみよう3(アプリケーション・ソフトの作成と実機確認)
前回は、ZynqBerryZero で Lチカをやってみようということで、 Vivado 2020.2 の blink プロジェクトでブロックデザインを完成させて、論理合成、インプリメンテーション、ビットストリームの生成を行って成功した。今回は、Vitis 2020.2 でプラットフォームを作成し、アプリケーション・ソフトウェアを作成して、実機確認を行ったところ動作した。


ZynqBerryZero で HDMI にラプラシアン・フィルタ画像を出力する1(Vitis HLS 2020.2編1)
ZynqBerryZero で L チカができたので、次は HDMI に画像を出力したい。ついでにラプラシアン・フィルタを実装してみよう。
まずは、ZynqBerryZero 用のラプラシアン・フィルタを Vitis HLS 2020.2 で実装してみよう。
ZynqBerryZero で HDMI にラプラシアン・フィルタ画像を出力する2(Vitis HLS 2020.2編2)
ZynqBerryZero 用のラプラシアン・フィルタを Vitis HLS 2020.2 で実装してみようということで、前回は、Vitis HLS 2020.2 の lap_filter_axis プロジェクトを作成し、ソースコードやテストベンチを作成して、 C シミュレーションを行った。今回は、C コードの合成、 C/RTL 協調シミュレーション、 Export RTL を行って、 IP を作成する。
ZynqBerryZero で HDMI にラプラシアン・フィルタ画像を出力する3(Vivado 2020.2編1)
前回は、Vitis HLS 2020.2 の lap_filter_axis プロジェクトを作成し、 C コードの合成、 C/RTL 協調シミュレーション、 Export RTL を行って、 IP を作成した。今回は、 IP を 800 ピクセル X 600 行に変更し(現在は、 64 ピクセル X 48 行)、 C コードの合成、 Export RTL を行って IP を修正してから、その IP を Vivado 2020.2 で使用して、回路を作成する。
ZynqBerryZero で HDMI にラプラシアン・フィルタ画像を出力する4(Vitis 2020.2 編)
前回は、 IP を 800 ピクセル X 600 行に変更し(現在は、 64 ピクセル X 48 行)、 C コードの合成、 Export RTL を行って IP を修正してから、その IP を Vivado 2020.2 で使用して、回路を作成し、論理合成、インプリメンテーション、ビットストリームの生成を行った。今回は、Export Hardware を行って、Vitis 2020.2 を立ち上げ、プラットフォームとアプリケーション・プロジェクトを作成して、実機確認を行ったところ、画面が真っ赤だっ た。
ZynqBerryZero で HDMI にラプラシアン・フィルタ画像を出力する5(デバック 編)
前回は、 Vivado 2020.2 で Export Hardware を行って、Vitis 2020.2 を立ち上げ、プラットフォームとアプリケーション・プロジェクトを作成して、実機確認を行ったところ、画像が真っ赤だった。今回は、その原因を追求する。
原因は、赤は正常、青と緑は逆の出力になっているということだった。ピクセルの青と緑のデータのビットをビットごとに反転すれば良い。
ZynqBerryZero で HDMI にラプラシアン・フィルタ画像を出力する6(Vitis HLS で青と緑を反転)
前回は、 実機確認を行ったところ、画像が真っ赤だった原因を追求するということで、カラーパターンを表示させたところ、青と緑が反転しているということが分かった。今回は、青と緑 を反転するために、AXI4-Stream インターフェースで青と緑を反転する IP を Vitis HLS 2020.2 で作成する。
ZynqBerryZero で HDMI にラプラシアン・フィルタ画像を出力する7(再度、実機確認)
前回は、青と緑のデータを反転するために、AXI4-Stream インターフェースで青と緑を反転する IP を Vitis HLS 2020.2 で作成した。今回は、その青と緑のデータを反転するIP を Vivado のブロックデザインに入れて論理合成、インプリメンテーション、ビットストリームの生成を行って、実機確認したところ、画像が正常に出力できた。




inserted by FC2 system