PlanAheadについて

Spartan3A Starter KitのDDR2 SDRAMコントローラのインプメントをPlanAheadでフロアプラン
(Spartan3A Starter KitのDDR2 SDRAMコントローラのインプメントをPlanAheadでフロアプランしてみることにした。インプリメント後の配置をPlanAheadでいじるのではなく、配置配線前にエリア制約をかけてみることにした。)
Spartan3A Starter KitのDDR2 SDRAMコントローラのバーストテストのインプリメントエラー
(ISE11.1からISE11.2にしたら、インプリメントエラーが出てしまった。DCMの配置制約をすればエラーが解消するため、PlanAheadでDCMの配置を行った)

PlanAheadで配置配線後のクリティカルパスを修正し、配置制約をUCFに書く
ど うしてもクリティカルパスの遅延が制約ないに収まらない場合は、Floorplannnerで配置配線後のクリティカルパスをドラック&ドロップで移動し て、その決定した制約をUCFに書き戻していた。PlanAheadでは、そのやり方が分からなかったが、筑波大学の武内修先生の”PlanAhead の基本的な使い方”に書いてあった。実際に自分のプロジェクトでやって見ながら、LOC制約だけではなく、BEL制約も出力する方法を書いてみたいと思 う。使用したISEのバージョンは12.1。
 PlanAheadで配置配線後の配置制約をUCFに書き出す
以前に、”PlanAheadで配置配線後のクリティカルパスを修正し、配置制約を UCFに書く”で、配置配線後のクリティカルパスを修正して、配置制約をUCFに書き出す方法を書いたが、今度は配置配線後にそのコンポーネントの配置の 制約をUCFファイルに書き出す方法を書いておく。

 PlanAheadでエリア制約をかける方法の覚えがき
PlanAheadでエリア制約を書ける方法は、”Spartan3A Starter KitのDDR2 SDRAMコントローラのインプメントをPlanAheadでフロアプラン”で一応書いたのだが、やり方を書いてなかったので、今回やるのに迷ってしまっ た。そこで今回はやり方も書いておこうと思う。

 PlanAheadがISEのIDEになる? 
PlanAheadがProject Navigatorに変わる新しいISEのIDEになるんじゃないか?と思って、PlanAheadのExample Projectを適当にやってみることにした。

PlanAhead12.3でCreate New Projectしてみる1(プロジェクトを作るまで) 
自分のSpartan-3A Starter KitでCMOSカメラ・ディスプレイ回路で、どのようになるか試してみたくなった。PlanAheadのプロジェクトを作るまでの手順を示した。
 PlanAhead12.3でCreate New Projectしてみる2(一時休止) 
Synthesizeを試みたが、インクルードファイルが無くてエラーとなった。インクルードファイルを設定するのが面倒なので、一時休止とした。

 PlanAheadのチュートリアルをやってみた 
PlanAheadのチュートリアルをやってみました。行ったチュートリアルは12.2の”PlanAhead チュートリアル : RTLデザインとCORE GeneratorでのIP生成”です。PlanAhead 12.3を使ってやってみました。

 PlanAhead12.4でCreate New Projectしてみる1(プロジェクトを作るまで) 
今回はNSL Coreで変換できたVerilogファイルをPlanAheadのプロジェクトでインプリメントして、みることにした。PlanAheadのプロジェクトが作成されて、PlanAheadが立ち上がるまで。
 PlanAhead12.4でCreate New Projectしてみる2(論理合成、インプリメント) 
さて前回で、プロジェクトが完成したが、UCFファイルは生成しただけで、まだピンの配置や動作周波数を設定してない。
論理合成をして、UCFを作成し、インプリメントを完了させた。
 PlanAhead12.4でCreate New Projectしてみる3(Program and Debug)
前回でImplementまで終了したので、今度はビットストリートを生成して、実機にダウンロードし、動作を確認した。

 PlanAhead13.1を試してみた1(インプリメント、シミュレーション) 
前回、”PlanAhead12.3でCreate New Projectしてみる1(プロジェクトを作るまで)”でやってみて、うまく行かずに諦めた自分のSpartan-3A Starter KitでCMOSカメラ・ディスプレイ回路で、どのようになるか試してみた。
インプリメントを行って、PlanAhead上からISimを起動してシミュレーションを行った。
 PlanAhead13.1を試してみた2(実機にダウンロード) 
PlanAheadでインプリメントとシミュレーションができたので、最後にSpartan-3A Starter Kitにダウンロードして実機動作を試してみた。

 PlanAhead14.1で、XPS入りのISE14.1のプロジェクトをインポートしてみた
”XPS13.1入のISE13.4プロジェクトをISE14.1に変換”で使用したISE14.1のプロジェクトをPlanAhead14.1にインポートしてみた。
 PlanAhead14.1で、XPS入りのISE14.1のプロジェクトをインポートしてみた2(解決編)
前 回、XPSプロジェクトが含まれたISE14.1のプロジェクトをPlanAhead14.1にインポートしてみたが、論理合成でsystem がunknown moduleで論理合成が止まってしまった。新しい知見を得て、うまく行きそうだったので、もう一度やってみた。成功した。
 PlanAhead14.1で、XPS入りのISE14.1のプロジェクトをインポートしてみた3(シミュレーション)
今回は全体シミュレーションしてみることにした。

 PlanAhead14.1のExampleのBFT Core を試してみた1(RTL Analysis)
今回は、PlanAhead14.1がどんなツールか知るために、Example Project の内からBFT core をやってみることにした。
 PlanAhead14.1のExampleのBFT Core を試してみた2(Synthesis編) 
Synthesisを行った。
 PlanAhead14.1のExampleのBFT Core を試してみた3(Implementation編) 
ImplementationとSimulationを行った。

 XPS入りのPlanAhead14.1プロジェクトを作る1(プロジェクトの生成) 
今度は自分で1からXPS入りのPlanAhead14.1プロジェクトを作ってみることにした。プロジェクトの作成、BSBウィザード。
 XPS入りのPlanAhead14.1プロジェクトを作る2(XPSの設定、インプリメント) 
前回は、XPS (Xilinx Platform Studio) のプロジェクトが出来たところまでだったが、PlanAhead14.1でインプリメントをしてみた。
 XPS入りのPlanAhead14.1プロジェクトを作る3(SDK) 
PhanAhead14.1プロジェクトでXPSプロジェクトを作製して、インプリメント、ビットファイルの生成まで成功した。今回はハードウェア設定をエクスポートして、SDKを使ってLEDを+1するソフトウェアを作製する。
 XPS入りのPlanAhead14.1プロジェクトを作る4(シミュレーション)
今度は自分で作ったXPS入りのPlanAhead14.1プロジェクトでシミュレーションをしてみた。
ソフトウェアをロードした状態で、回路全体のシミュレーションをすることができました。

 PlanAhead14.1でChipScope Proを試してみた1(ChipScope の設定)
今回は、PlanAhead14.1でChipScope Proを試してみた。
 PlanAhead14.1でChipScope Proを試してみた2(ChipScope Analyzer)
前回では、ChipScope の設定を行ったが、まだ続きがある。その後にインプリメントを行った。その後で、ChipScope Analyzer を起動して波形をキャプチャすることが出来た。


inserted by FC2 system