その他のXilinxツールについて

ISEでの組み合わせ回路の論理シミュレーション
(ISEのテストベンチ生成ツールを使用した組み合わせ回路の論理シミュレーションのやり方)
FPGAの静的消費電力
(ちょっとだけXpowerを使ってみた。シミュレーション・パターンはいれずに静的消費電力のみ)
ISE8.2iをコマンドラインから使う
(ISEが起動したコマンドを使用して、お手軽にコマンドラインからISEを使用する方法)
DATA2MEMの使い方
(FPGA内部BRAMをプロセッサのプログラムを収納するメモリに使用しているときに、DATA2MEMを使用して出来上がった.bitファイルにプログラムをロードする方法)
PicoBlazeの命令用BRAMにプログラムをDATA2MEMでロードする
COMPXLIB
(ModelSim SE用にCOMPXLIBコマンドを使用してライブラリをコンパイルする)
PlanAhead 9.1
(PlanAhead 9.1をお試しで使ってみた。実際のうちのインプリメントでは何故かうまくいかなかったので、保留となっている)
Floorplan Editor
(ISE9.2SP3からVirtex5はFloorplan Editorを使うようになった)
XSTのBlock RAMへのロジックのマップを確かめる
(XSTにはロジックをBlock RAMへ割り当てるディレクティブ bram_map がある。これは、スライスがなくなってしまった時に、Block RAMにロジックを割り当てる機能のようだ。これを検証してみた。今回はBRAMにマップできなかった
XSTのBlock RAMへのロジックのマップを確かめる2
(前回に続いてBRAMへのロジックのマップを試す。サンプルを試してみたらBRAMにマップされた)
XSTのBlock RAMへのロジックのマップを確かめる3
”XSTのBlock RAMへのロジックのマップを確かめる2”で サンプルの組み合わせ回路(加算器)のBlock RAMへのマップについて試してみた。今回は簡単なステートマシンをBRAMへマップしてみる。ステートマシンをBRAMへマップしたいというのが今回の 目的だ。結果はBRAMにマップできる書き方とできない書き方があった)
ISE10.1iのXPower Analyzerを使ってみる1
(今 回はISE10.1iのXPower Analyzerを使ってみた。とりあえずは、まずはsoc-lm32、Lattice社のMico32プロセッサをSpartan3E Starter Kitに実装したプロジェクトでどのくらい電力を食う可能性があるのかを探ってみる。ISE10.1i)
ISE10.1iのXPower Analyzerを使ってみる2
(今回は熱についての設計をしてみた。ジャンクション温度なのどのシミュレーションができる)
ISE10.1iのXPower Analyzerを使ってみる3
(今まではSpartan3E-500-4 だったが、今度はVirtex4-FX12-10 に変更して、ジャンクション温度や消費電力をシミュレーションしてみた)
ISE10.1iのXPower Analyzerを使ってみる4
(ModelSimで出力したVCDファイルをXPowerに読み込ませて、実際のトグルレートを取得し消費電力をシミュレーションする)
ISE10.1iのXPower Analyzerを使ってみる5
(今回は、Virtex4-FX12で、かなり限界までリソースを使ってみた時に消費電力、ジャンクションパワーがどうなるか見てみることにした)
ISE Text Editor のオートコンプリート機能
(ISE Test Editorを使っていたときに、Notepad++の癖でCTRLキー+RETURNキーを押してしまった。そうしたら、同様にリストボックスがでてきた。えー、もしかして、補完機能があったのか? 実はあったようです)
XSTでROMを推定させる書き方の問題点
Xilinx社の論理合成ツールXSTでRAMを推定させる書き方をして、それに初期値を入れる方法では、何時間も論理合成に時間がかかることがある。

inserted by FC2 system