そ の他のFPGA用ツールについて

ISE9.2SP3 でSynplify Pro 8.5を使用するときの注意点
(1つのファイルにパッケージとentityを一緒に書くと良いことはないようだ)
ISE でSynplify9.2を使ったインプリメントの場合の制約
(ISE9.2.03とSynplify Pro9.2で、ファイルを整理してプロジェクトを作り直していたら、Timing Analyzerに出てくるクロック周期がおかしい)
Notepad++ のVerilogモードに新しいキーワードを追加
(Verilog-HDLコードをNotepad++というエディタを使用して書いている。 Verilog2001の文法で書いているのだが、generate やgenvar などのキーワードの色が変わらない。そこでキーワードを追加した)
 Vimをインス トールした
LSI設計雑記帳さんの”VimでSystemVerilog(OVM)を高速入力”を見て、 Vimをインストールしてみた。いろいろなプラグインがあって便利に使えそうだ。

VSCode をインストールした
遅ればせながら、Ubuntu 18.04 に VSCode をインストールした。


inserted by FC2 system