PACEの使い方

PACEの使い方1
(PACEでのピンの配置)
PACEの使い方2
(ブロック単位での信号規格の定義)
PACEのエラー回避方法
(PACEを起動するときの"ERROR:HDLParsers:3014"の回避方法)

他のカテゴリでのPACEの記事
Virtex4のリージョナルバッファ(BUFIO、BUFR)
(PACEを起動してのピンの配置)
DDR SDRAMのDQS信号でデータをサンプルする方法2
(BANKを指定してピンを配置する)
スパルタン3スタータキットでのISE8.1iのチュートリアル5(インプリメンテーション編)
(Package Viewでのピンの配置)
BUFGMUXの配置方法
(BUFGMUXの配置)
inserted by FC2 system