FPGAリ テラシー およびチュートリアル

やはり、ブログなので、新しい順に記事が並んでしまう。
そこで、FPGAリテラシーおよびチュートリアル・カテゴリの主なコンテンツの目次を作ることにした。

(2016/02/11)
Digilent社のZYBOボードを使って、Vivado のIP Integrator を使って、LEDを制御するIPを作り、それをスタンドアローンのアプリケーションを作って制御する。更に、ZYBOボードにUbuntuをインストールして、Linux 上で、LEDを制御するIPを制御するアプリケーションを作製する方法を書いたスライドをSlideShareにアップロードした。最後までやるとOpenCV もインストールできて、顔認識もできる。
Vivado and zybo linux勉強会資料3

Vivado HLS 2015.4の使い方を書いたスライドをSlideShareにアップロードした。5部作で、650枚以上のボリュームがある。
Vivado HLSはC/C++からHDLを合成する高位合成ツールで、Vivado 2015.4からWebPACKで使うことができるようになった。つまり、デバイス限定ではあるが無料になった。
Vivado hls勉強会1(基礎編)

Vivado hls勉強会2(レジスタの挿入とpipelineディレクティブ)


Vivado hls勉強会3(axi4 lite slave)

Vivado hls勉強会4(axi4 master)

Vivado hls勉強会5(axi4 stream)


Vivado 2014.1 のチュートリアルです。(2014/07/21)
VivadoでZYBOの AXI4 Slave キャラクタ・ディスプレイ・コントローラ IP1(新規プロジェクトの生成)
”ZYBO用キャラクタ・ディスプレイ・コントローラ IPの単体テスト(VGAポート専用)”のVivado 2014.1版を作ろうと思う。Vivado 2014.1 のチュートリアルをかねようとしているので、丁寧に図をキャプチャして書くつもりだ。
VivadoでZYBOの AXI4 Slave キャラクタ・ディスプレイ・コントローラ IP2(FIFOの生成)
前回はプロジェクトを作るところまでだったが、今回はFIFOを生成する。
VivadoでZYBOの AXI4 Slave キャラクタ・ディスプレイ・コントローラ IP3(単体シミュレーション)
今回は、OVL(Open Verification Library)を使用した単体シミュレーションを行う。
VivadoでZYBOの AXI4 Slave キャラクタ・ディスプレイ・コントローラ IP4(IP化)
単体シミュレーションが終了したZYBOのAXI4 Slave キャラクタ・ディスプレイ・コントローラをIPにする。
VivadoでZYBOの AXI4 Slave キャラクタ・ディスプレイ・コントローラ1(新規プロジェクトの作製)
”VivadoでZYBOのAXI4 Slave キャラクタ・ディスプレイ・コントローラ IP4(IP化)”で、ZYBOのAXI4 Slave キャラクタ・ディスプレイ・コントローラの IP化が終了したので、今回はZYBOのAXI4 Slave キャラクタ・ディスプレイ・コントローラの回路全体を作製する。
Vivado 2014.1を使用して、IPにした ZYBOのAXI4 Slave キャラクタ・ディスプレイ・コントローラをインスタンスして、動作する様に、他のIPもインスタンスし、回路全体を構成する。
なお、Vivado 2014.1のチュートリアルとしても使用できるように、1つ1つの手順を図入りで紹介する。
VivadoでZYBOの AXI4 Slave キャラクタ・ディスプレイ・コントローラ2(IPリポジトリへの追加)
VivadoでZYBOの AXI4 Slave キャラクタ・ディスプレイ・コントローラ3(PSのインポートと設定)
VivadoでZYBOの AXI4 Slave キャラクタ・ディスプレイ・コントローラ4(PS以外のブロックデザイン)
VivadoでZYBOの AXI4 Slave キャラクタ・ディスプレイ・コントローラ5(ブロック・デザインの生成)
VivadoでZYBOの AXI4 Slave キャラクタ・ディスプレイ・コントローラ6(ブロック・デザインのデバック)
ブロック・デザインの生成でエラーがでているので、デバックをしていた。日曜日の1日中いろい ろなことを試したが、やっとわかってきたと思うので、ブログに書いておく。
因みに、プロジェクト名を短くしないとWinodwsのパス名260文字問題に引っかかってしまうので、プロジェクトを作りなおした。
うまく行った。
VivadoでZYBOの AXI4 Slave キャラクタ・ディスプレイ・コントローラ7(配置制約の生成)
前回、ブロック・デザインが終了して、ラッパー Verilog HDLファイルを作製した。今回は、論理合成し、出力端子の出力レベルと配置を制約した。
VivadoでZYBOの AXI4 Slave キャラクタ・ディスプレイ・コントローラ8(インプリメント)
インプリメントを行い、成功した。
VivadoでZYBOの AXI4 Slave キャラクタ・ディスプレイ・コントローラ9(SDK、実機テスト)
実機テストは成功した。


FPGAリテラシーおよびチュートリアルはISE8.1iだが、今のISE9.2iと大体同じなので使えると思う。(iMPACTの統合などの細かい違い はあるのだが。。。)
結構、このチュートリアルシリーズ使えると自分では思っています。特にシミュレーション編などはお気に入りです。サンプルプロジェクトを用意して、図を豊富に使っ て、丁寧に説明していると思っています。

スパルタン3スタータキットでのISE8.1iのチュートリアル1(導入編)

ス パルタン3スタータキットでのISE8.1iのチュートリアル2(プロジェクト作成)
ス パルタン3スタータキットでのISE8.1iのチュートリアル3(シミュレーション準備編)
ス パルタン3スタータキットでのISE8.1iのチュートリアル4(シミュレーション編)
ス パルタン3スタータキットでのISE8.1iのチュートリアル5(インプリメンテーション編)
ス パルタン3スタータキットでのISE8.1iのチュートリアル6(インプリメンテーション編続 き)
ス パルタン3スタータキットでのISE8.1iのチュートリアル7(コンフィギュレーション編)
ス パルタン3スタータキットでのISE8.1iのチュートリアル8(コンフィギュレーション編続 き)
シ リアルインターフェース(RS-232C)の説明

ISE10.1i のインプリメントとFPGAのコンフィギュレーション(SuzakuV DDR2 SDRAMテスト回路を使用)
(SuzakuV DDR2 SDRAMテスト回路を使用したISE10.1iのインプリメントとFPGAのコンフィギュレーションのチュートリアル、以前のISE8.1iと違ってい るところを中心にした)
Veritak でのシミュレーションやり方1(SuzakuV DDR2 SDRAMテスト回路を使用)
Veritak でのシミュレーションやり方2(SuzakuV DDR2 SDRAMテスト回路を使用)
Veritak でのシミュレーションやり方3(SuzakuV DDR2 SDRAMテスト回路を使用)

ご縁があってト ランジスタ技術2009年6月号の別冊付録”再確認!電子機器の開発ツール”の第4章4-1”高機能なディジタル回路を実現するFPGAの開発方法” と4-2”大規模ロジック回路を高速にシミュレーションするには”という題で書かせていただいた。
こ こでは、FPGAはどんなものかということや、ISE10.1サービスパック3の使い方を書かせていただいた。2009年5月の時点では、 ISE11.1iが出ている。新しいISEでもう一度書いてみようと思い、ISE11.1iでチュートリアルを書き直すことにした。今回は都合により VHDLを使用することにする。
ISE11.1i のチュートリアル1(導入編)
ISE11.1i のチュートリアル2(プロジェクトの作成)
ISE11.1i のチュートリアル3(制約 (UCF) の作成)
ISE11.1i のチュートリアル4(テストベンチの作成)
ISE11.1i のチュートリアル5(シミュレーション)
ISE11.1i のチュートリアル6(デザインのインプリメント)
ISE11.1i のチュートリアル7(FPGAのコンフィギュレーション)
ISE11.1i のチュートリアル8(Platform Flash PROMへの書きこみ)

Vivado のチュートリアルについて書くつもりでしたが、Xilinx社のVivado チュートリアルがよく書けているので、これをやってみれば十分だと思います。、”Vivado Design Suite チュートリアル デザイン フローの概要 UG888 (v2013.2) 2013 年 6 月 19 日”(PDFで す)の21ページ、”演習2 : プロジェクトデザインフローの使用”からやればよくわかると思います。(Vivado 2013.2、2013/09/10)
なお、Vivado_Tutorial/Tutorial_Created_Dataは演習1で作られるので、演習2からやる人は、 Tutorial_Created_Dataフォルダを作製する必要があります。
チュートリアル・デザインファイルについての説明は、7ページの”チュートリアル デザイン ファイルのディレクトリ”にあります。

無 償 ISE WebPACK と 無償 ModelSim Xilinx Edition III のインストール方法
無償 ISE WebPACK のインストール方法 と 無償 ModelSim Xilinx Edition III のインストール方法 のPDFがXilinxのサイトにあったので、忘れないように覚書を書いておく。ISE11用


その他のこのカテゴリの記事
7 セグメントLEDを何個ダイナミック点灯できるか?
(7セグメントLEDを何個ダイナミック点灯できるかを実際にプロジェクトを作ってやってみ た。自分的には限界は8個くらいかな?以 前からどのくらい大丈夫なのか疑問だったのだ)
7 セグメントLEDを何個ダイナミック点灯できるか?2
(スパルタン3スタータキット用のプロジェクトをダウンロードして試せます(リンクがわかりにくいので注意)。電圧波形をオシロで測定しました)
ス イッチのチャタリング
(スイッチのチャタリングをスパルタン3スタータキットで実際に確かめてみた。スパルタン3スタータキット用のプロジェクトをダウンロードして試せます (リンクがわかりにくいので注意))
inserted by FC2 system