FreqDiv.RTL Architecture Reference

FreqDivのアーキテクチャの定義 [詳細]

FreqDiv.RTLに対する継承グラフ

Inheritance graph
[凡例]

すべてのメンバ一覧


Processes

FREQ_DIVIDE  ( reset , clk )

Signals

lcnt  std_logic_vector ( integer ( log2 ( real ( clk_frequency ) ) ) downto 0 )
 lcnt (1ms間隔)


説明

FreqDivのアーキテクチャの定義

FreqDiv

freqdiv.vhd33 行で定義されています。


関数

[Process]
FREQ_DIVIDE ( reset ,
clk )

1KHzに分周する

freqdiv.vhd38 行で定義されています。

00038     FREQ_DIVIDE : process(reset, clk) begin -- Lighting frequency is 1KHz
00039         if reset='1' then
00040             lcnt <= (others => '0');
00041         elsif clk'event and clk='1' then
00042             if lcnt = conv_std_logic_vector(clk_frequency, integer(log2(real(clk_frequency)))+1) then
00043                 lcnt <= (others => '0');
00044             else
00045                 lcnt <= lcnt + 1;
00046             end if;
00047         end if;
00048     end process FREQ_DIVIDE;


このクラスの説明は次のファイルから生成されました:

dynadisp_testに対してThu Mar 6 08:53:59 2008に生成されました。  doxygen 1.5.5
inserted by FC2 system