FreqDiv Entity Reference

FreqDivのentity [詳細]

FreqDivに対する継承グラフ

Inheritance graph
[凡例]
FreqDivのコラボレーション図

Collaboration graph
[凡例]

すべてのメンバ一覧


Libraries

IEEE 
 Use standard library

Packages

std_logic_1164 
 std_logic_1164を使用
STD_LOGIC_ARITH 
 STD_LOGIC_ARITHを使用
STD_LOGIC_UNSIGNED 
 STD_LOGIC_UNSIGNEDを使用
math_real 
 log2を使用するのでmath_realをインクルード

Generics

clk_frequency  integer := 50000
 クロック周波数 (KHz)

Ports

clk  in std_logic
 クロック
reset  in std_logic
 リセット
lighting_ena  out std_logic
 LED表示イネーブル

Architectures

RTL Architecture
 FreqDivのアーキテクチャの定義 [詳細]


説明

FreqDivのentity

freqdiv.vhd20 行で定義されています。


このクラスの説明は次のファイルから生成されました:

dynadisp_testに対してThu Mar 6 08:53:59 2008に生成されました。  doxygen 1.5.5
inserted by FC2 system