ddr2_sdram_cont/read_write_io.v

ソースコードを見る。

関数

default_nettype none timescale
module 
read_write_io (reset, clk, clk90, read_timing, read_timing_1b, read_timing_2b, burst_read, output_data, rddata_valid, wrdata_fifo_data, wrdata_fifo_mask, dqs_enable, dqs_reset, ddr2_dq, ddr2_dqs, ddr2_dqs_n, ddr2_dm, sd_loop_in, sd_loop_out)
 always (posedge clk) begin if(reset) begin wrdata_1d< =0

変数

include ddr2_cont_parameters
vh input wire 
reset
input wire clk
input wire clk90
input wire read_timing
input wire read_timing_1b
input wire read_timing_2b
input wire burst_read
output wire[INTERFACE_DATA_WIDTH-1:0] output_data
output wire rddata_valid
input wire[INTERFACE_DATA_WIDTH-1:0] wrdata_fifo_data
input wire[INTERFACE_MASK_WIDTH-1:0] wrdata_fifo_mask
input wire dqs_enable
input wire dqs_reset
inout wire[DDR2_DATA_WIDTH-1:0] ddr2_dq
inout wire[DDR2_DQS_DM_WIDTH-1:0] ddr2_dqs
inout wire[DDR2_DQS_DM_WIDTH-1:0] ddr2_dqs_n
output wire[DDR2_DQS_DM_WIDTH-1:0] ddr2_dm
input wire sd_loop_in
output wire sd_loop_out
wire clkx = ~clk
wire clk270 = ~clk90
wire[DDR2_DATA_WIDTH-1:0] dq_tri_d0
wire[DDR2_DATA_WIDTH-1:0] dq_tri_d1
wire[DDR2_DATA_WIDTH-1:0] dq_tri_ce
wire[DDR2_DATA_WIDTH-1:0] dq_data_d0
wire[DDR2_DATA_WIDTH-1:0] dq_data_d1
wire[DDR2_DATA_WIDTH-1:0] dq_data_ce
wire[DDR2_DQS_DM_WIDTH-1:0] dqs_reset_io
wire[DDR2_DQS_DM_WIDTH-1:0] dqs_enable_io
wire[DDR2_DQS_DM_WIDTH-1:0] dm_data_d0
wire[DDR2_DQS_DM_WIDTH-1:0] dm_data_d1
wire[DDR2_DQS_DM_WIDTH-1:0] dm_data_ce
wire fb_read_timing
reg rdd_afifo_rd_en
wire rdd_afifo_empty
wire rdd_afifo_almost_empty
wire rdd_afifo_full
wire rdd_afifo_almost_full
reg[INTERFACE_DATA_WIDTH-1:0] wrdata_1d
reg[INTERFACE_DATA_WIDTH-1:0] wrdata_2d
reg[INTERFACE_DATA_WIDTH-1:0] wrdata_3d
reg[INTERFACE_DATA_WIDTH-1:0] wrdata_4d
reg[INTERFACE_DATA_WIDTH/2-1:0] wrdata_3d_half
reg[INTERFACE_DATA_WIDTH/2-1:0] wrdata_4d_half
reg[INTERFACE_DATA_WIDTH/2-1:0] wrdata_5d_half
reg[INTERFACE_MASK_WIDTH-1:0] wrmask_1d
reg[INTERFACE_MASK_WIDTH-1:0] wrmask_2d
reg[INTERFACE_MASK_WIDTH-1:0] wrmask_3d
reg[INTERFACE_MASK_WIDTH-1:0] wrmask_4d
reg[INTERFACE_MASK_WIDTH/2-1:0] wrmask_3d_half
reg[INTERFACE_MASK_WIDTH/2-1:0] wrmask_4d_half
reg[INTERFACE_MASK_WIDTH/2-1:0] wrmask_5d_half
wire[DDR2_DQS_DM_WIDTH-1:0] dqs_out
wire gnd
wire vcc
reg[DDR2_DQS_DM_WIDTH-1:0] dqs_enable_1d
reg[DDR2_DQS_DM_WIDTH-1:0] dqs_enable_2d
reg[DDR2_DQS_DM_WIDTH-1:0] dqs_enable_3d
reg[DDR2_DQS_DM_WIDTH-1:0] dqs_enable_1d_dq
reg[DDR2_DATA_WIDTH-1:0] dqs_enable_2d_dq
reg[DDR2_DQS_DM_WIDTH-1:0] dqs_enable_2d_mask
reg[DDR2_DATA_WIDTH-1:0] dqs_enable_3d_dq
reg[DDR2_DATA_WIDTH-1:0] dqs_enable_4d_dq
reg[DDR2_DATA_WIDTH-1:0] dqs_enable_4d_dq_clk90
reg[DDR2_DQS_DM_WIDTH-1:0] dqs_enable_3d_mask
reg[DDR2_DQS_DM_WIDTH-1:0] dqs_enable_4d_mask_clk90
reg[DDR2_DQS_DM_WIDTH-1:0] dqs_reset_1d
reg[DDR2_DQS_DM_WIDTH-1:0] dqs_reset_2d
reg[DDR2_DQS_DM_WIDTH-1:0] dqs_reset_3d
reg[DDR2_DQS_DM_WIDTH-1:0] dqs_reset_1d_dq
reg[DDR2_DQS_DM_WIDTH-1:0] dqs_reset_2d_dq
reg[DDR2_DATA_WIDTH-1:0] dqs_reset_2d_dqtri
reg[DDR2_DQS_DM_WIDTH-1:0] dqs_reset_3d_dq
reg[DDR2_DATA_WIDTH-1:0] dqs_reset_3d_dqtri
wire[INTERFACE_DATA_WIDTH-1:0] dout
reg[INTERFACE_DATA_WIDTH-1:0] dout_node
reg valid_node
wire[DDR2_DATA_WIDTH-1:0] dq_data
wire dqs_clk
wire[DDR2_DQS_DM_WIDTH-1:0] dqs_enable_node

関数

always ( posedge  clk  )  [pure virtual]
default_nettype none timescale module read_write_io ( reset  ,
clk  ,
clk90  ,
read_timing  ,
read_timing_1b  ,
read_timing_2b  ,
burst_read  ,
output_data  ,
rddata_valid  ,
wrdata_fifo_data  ,
wrdata_fifo_mask  ,
dqs_enable  ,
dqs_reset  ,
ddr2_dq  ,
ddr2_dqs  ,
ddr2_dqs_n  ,
ddr2_dm  ,
sd_loop_in  ,
sd_loop_out   
)

変数

input wire burst_read

read_write_io.v17 行で定義されています。

input wire clk

read_write_io.v12 行で定義されています。

assign clk270 = ~clk90

read_write_io.v33 行で定義されています。

input wire clk90

read_write_io.v13 行で定義されています。

assign clkx = ~clk

read_write_io.v33 行で定義されています。

output wire [DDR2_DQS_DM_WIDTH-1: 0] ddr2_dm

read_write_io.v29 行で定義されています。

inout wire [DDR2_DATA_WIDTH-1: 0] ddr2_dq

read_write_io.v26 行で定義されています。

inout wire [DDR2_DQS_DM_WIDTH-1: 0] ddr2_dqs

read_write_io.v27 行で定義されています。

inout wire [DDR2_DQS_DM_WIDTH-1: 0] ddr2_dqs_n

read_write_io.v28 行で定義されています。

read_write_io.v44 行で定義されています。

read_write_io.v42 行で定義されています。

read_write_io.v43 行で定義されています。

wire [INTERFACE_DATA_WIDTH-1 : 0] dout

read_write_io.v86 行で定義されています。

read_write_io.v87 行で定義されています。

wire [DDR2_DATA_WIDTH-1: 0] dq_data

read_write_io.v89 行で定義されています。

read_write_io.v39 行で定義されています。

read_write_io.v37 行で定義されています。

read_write_io.v38 行で定義されています。

read_write_io.v36 行で定義されています。

read_write_io.v34 行で定義されています。

read_write_io.v35 行で定義されています。

wire dqs_clk

read_write_io.v90 行で定義されています。

input wire dqs_enable

read_write_io.v23 行で定義されています。

read_write_io.v67 行で定義されています。

read_write_io.v70 行で定義されています。

read_write_io.v68 行で定義されています。

read_write_io.v71 行で定義されています。

read_write_io.v72 行で定義されています。

read_write_io.v69 行で定義されています。

read_write_io.v73 行で定義されています。

read_write_io.v76 行で定義されています。

read_write_io.v74 行で定義されています。

read_write_io.v75 行で定義されています。

read_write_io.v77 行で定義されています。

read_write_io.v41 行で定義されています。

read_write_io.v91 行で定義されています。

wire [DDR2_DQS_DM_WIDTH-1 : 0] dqs_out

read_write_io.v65 行で定義されています。

input wire dqs_reset

read_write_io.v24 行で定義されています。

read_write_io.v78 行で定義されています。

read_write_io.v81 行で定義されています。

read_write_io.v79 行で定義されています。

read_write_io.v82 行で定義されています。

read_write_io.v83 行で定義されています。

read_write_io.v80 行で定義されています。

read_write_io.v84 行で定義されています。

read_write_io.v85 行で定義されています。

read_write_io.v40 行で定義されています。

read_write_io.v45 行で定義されています。

wire gnd

read_write_io.v66 行で定義されています。

output wire [INTERFACE_DATA_WIDTH-1 : 0] output_data

read_write_io.v18 行で定義されています。

read_write_io.v48 行で定義されています。

read_write_io.v50 行で定義されています。

read_write_io.v47 行で定義されています。

read_write_io.v49 行で定義されています。

read_write_io.v46 行で定義されています。

output wire rddata_valid

read_write_io.v19 行で定義されています。

input wire read_timing

read_write_io.v14 行で定義されています。

input wire read_timing_1b

read_write_io.v15 行で定義されています。

input wire read_timing_2b

read_write_io.v16 行で定義されています。

include ddr2_cont_parameters vh input wire reset

read_write_io.v11 行で定義されています。

input wire sd_loop_in

read_write_io.v30 行で定義されています。

output wire sd_loop_out

read_write_io.v31 行で定義されています。

read_write_io.v88 行で定義されています。

wire vcc

read_write_io.v66 行で定義されています。

read_write_io.v51 行で定義されています。

read_write_io.v52 行で定義されています。

read_write_io.v53 行で定義されています。

read_write_io.v55 行で定義されています。

read_write_io.v54 行で定義されています。

read_write_io.v56 行で定義されています。

read_write_io.v57 行で定義されています。

read_write_io.v21 行で定義されています。

read_write_io.v22 行で定義されています。

read_write_io.v58 行で定義されています。

read_write_io.v59 行で定義されています。

read_write_io.v60 行で定義されています。

read_write_io.v62 行で定義されています。

read_write_io.v61 行で定義されています。

read_write_io.v63 行で定義されています。

read_write_io.v64 行で定義されています。

 全て ファイル 関数 変数
ddr2_burst_testに対してThu May 6 16:53:16 2010に生成されました。  doxygen 1.6.3
inserted by FC2 system