ddr2_sdram_cont/dqs_io_pad.v

ソースコードを見る。

関数

default_nettype none timescale
KEEP_HIERARCHY module 
dqs_io_pad (input wire clk, input wire reset, inout wire io_pad, input wire dqs_reset, input wire dqs_enable, output wire dqs_clk)
ODDR2 DDR_ALIGNMENT ("NONE")
ODDR2 SRTYPE ("SYNC")) ODDR2_DATA(.Q(to_io_pad)
ODDR2 C0 (clk)
ODDR2 C1 (~clk)
ODDR2 CE (1'b1)
ODDR2 D0 (1'b1)
ODDR2 D1 (1'b0)
ODDR2 R (dqs_reset)
ODDR2 S (1'b0))
 always (posedge clk) beginif(reset) dqs_tri_enable_1d<

変数

IOB reg dqs_tri_enable_1d
wire to_io_pad
wire dqs_clk_node
reg dqs_clk_node2

関数

always ( posedge  clk  ) 
ODDR2 C0 ( clk   ) 
ODDR2 C1 ( clk  ) 
ODDR2 CE ( 1'  b1  ) 
ODDR2 D0 ( 1'  b1  ) 
ODDR2 D1 ( 1'  b0  ) 
ODDR2 DDR_ALIGNMENT ( "NONE"   ) 
default_nettype none timescale KEEP_HIERARCHY module dqs_io_pad ( input wire  clk,
input wire  reset,
inout wire  io_pad,
input wire  dqs_reset,
input wire  dqs_enable,
output wire  dqs_clk 
)
ODDR2 R ( dqs_reset   ) 
ODDR2 S ( 1'  b0  ) 
ODDR2 SRTYPE ( "SYNC"   ) 

変数

dqs_io_pad.v18 行で定義されています。

dqs_io_pad.v19 行で定義されています。

dqs_io_pad.v16 行で定義されています。

wire to_io_pad

dqs_io_pad.v17 行で定義されています。

 全て ファイル 関数 変数
ddr2_burst_testに対してThu May 6 16:53:16 2010に生成されました。  doxygen 1.6.3
inserted by FC2 system