Xilinx ISEについて

"Multi Pass Place & Route"の使用方法
(コンパイルすると動作周波数が66MHzを下回ってしまった。もう一度"Floorplannerの使い方覚書3"の方法でクリティカルパスを短くして も良かったが、今回はMulti Pass Place & Routeで問題を解決してみることにした。Multi Pass Place & Routeのやり方を解説)
ISE のProperty display levelについて
(XilinxのFPGA用のツールISEにはProperty display levelがある。ディフォルトではStandardになっているが、Advancedに設定すると設定できる項目が増える)
ISE7.1i のアドバンテージ
(ISE7.1iから、ISEでテストベンチを生成する際に元のVHDLファイルのport宣言に日本語があっても大丈夫になった)
ISE8.1i のエディタで日本語を表示する方法
(ISE8.1iのエディタで日本語を表示する方法。本当にISE8.1iはバグバグで困った)
ISE6.3i からISE8.1iへの移行
(ISE6.3iからISE8.1iへの移行しようとしているが、どうもおかしいということ。ISE8.1iはバグバグ)
ISE8.1iSP3 の不便なところ
(1つは日本語を使っていると時々化けていること。ISE8.1iではプロジェクトをフォルダごとコピーして違う名前をつけても元のソースファイルへのパ スを張ってしまう)
ISE8.2i をインストールしました
お 手軽にISEでSynplifyを使う上での注意点
(Synplify用の制約ファイル(.sdc)を書かないでデフォルトのまま使う)
ISE8.2i の日本語表示はまだあやしい
(ISE8.2iもバグバグ)
ISE のプロパティを変更してFPGAの性能を変える
(XST, MAP, P&Rのプロパティを変更して、ISEにがんばってもらう設定にすると性能が上がる。ただし、インプリメントする時間は増える)
Partition の設定
(パーティションの設定方法と性能比較)
ISE9.1i
Xilinx ISE WebPACK 9.1iSP1
Verilog2001 版DDR SDRAMコントローラーのISE9.1iSP1によるインプリメント
(Verilog2001版DDR SDRAMコントローラーがISE9.1iSP1で動かなかったことのトラブルシュート記。iMPACTを立ち上げて最初の一回目がおかしいようだ。やり 直せば問題ないということになった)
Xilinx ISE9.2 SP4の不具合?(Synplify Pro使用)
(Xilinx ISE9.2 SP4(サービスパック4)にしてから仕事用のプロジェクトをインプリメントしていなかったのだが、久しぶりにインプリメントしてみたところ Translateでエラーになってしまってインプリメントができなくなっていた。Syplifyを使用して、Xilinx ISE9.2 SP4(サービスパック4)だと正常にインプリメントできないこともあるようだ)
Floorplan IO (複数の制約ファイル(UCF)を使った制約)
(ISE10.1 で複数の制約ファイル(UCF)を使えるということで、試してみた。現在やっているSuzaku-VのDDR2 SDRAMコントローラのインプリメントでUCFファイルを2つに分けてみた。それは、Suzaku_DDR2_test_ddr2.ucf と Suzaku_DDR2_test_dev.ucf だ。それぞれDDR2用のIOの制約とそれ以外のIOの制約に分けている。)
ISE(Project Navigator) で外部エディタを使用する
(ISE(Project Navigator) で外部エディタを使用する設定方法について)
ISE10.1 でのNGDBuild - 「ERROR: Access violation について
(DDR2 SDRAMコントローラは遅延を自動で変更しながら、最良のポイントを見つける方法でやってみることにしたが、その前に動作周波数を200MHzから150MHzに落とし てみることにした。
そのためにはDCMをもう1つ追加する必要があるので、追加してインプリメントすると、下のようなエラーがTranslateででた。)
ISE10.1i サービスパック3
(Xilinx ISE10.1i サービスパック3が出ています。Xilinxのダウンロードサイトからダウンロードできるようです。)
XST でのFATAL_ERROR:Xst:Portability/export/Port_Main.h:143:1.17
(”FATAL_ERROR:Xst:Portability/export /Port_Main.h:143:1.17”が出て論理合成できないという問題が発生してしまった。)
Place & Route時間
(FFを間に挟んで、クリティカルパスを潰したら10分になったと思ったら、ISEがおかしく なっていたためでした。)
Place & Routeラインタイムの変化
(依然として、Place & Routeのランタイムに長い時間がかかっているのは事実だった。これを何とかしたい、何とかしないと効率が悪すぎるということで、いろいろやってみた。)
ISE11.1i をインストールした
(ISE11.1iが無事にダウンロードできたので、さっそくインストールしてみた。既存のプ ロジェクトをコンパイルして見たんですが、Translateでエラーになってしまった)
ISE11.1i をインストールした(続き)
(Translateエラーを解決したら、Place & Routeでまたエラー発生)
ISE11.1i をインストールした(続き2)
(Place & Routeのエラーを解消して、ISE11.1とISE10.1の結果を比較)
ISE11.1i とISE10.1の比較
(soc-lm32のプロジェクトでISE11.1とISE10.1の結果を比較)
ISE 11.1 Design Suiteの資料
(今までXilinx社のサイトにISE 11.1 Design Suiteの資料がなかったのだが、今日見たらできていた。ISimの表示の出し方)
ISE11.2i が出た?
(昨日、ISE11.2が出て、Spartan-6とVirtex-6が使えますというメール がXilinxから来た。早速、ダウンロードしてみようとして、やってみたが、昨日はダウンロードの認証が通らずに断念した。
astrayさんにコメントで教えていただきました。ISE11.1からupdateかけたら ISE11.2になるようです。現在、Updateしているところです。)
MSDN のWindows7 RTM にISE11.2をインストール
(MSDNのWindows7 RTM にISE11.2をインストールしてみました。問題なくインストールができて、Spartan3A Starter KitのDDR2 SDRAMコントローラのプロジェクトを読み込んで、インプリメントできました。)
ISE11.3 アップデート
(今日来たXilinxからのメールを見たら、ISE11.3が出たとのことだった。 ISE11.3はSoftware UpdatesとしてXilinxのダウンロードサイトからダウンロードする必要がある。Windowsの32ビット版で2.42GBある。)
ISE11.3 でのプロジェクトの絶対パス問題?
(ISE11からプロジェクトのWORKディレクトリが絶対パスで固定されていしまったので、 他のパソコンにポーティングするときに困る)
ISE11.3 でプロジェクトをフォルダごとコピーした時のWorking directory
(”ISE11.3でのプロジェクトの絶対パス問題?”で家からフォルダごとコピーしてきたプ ロジェクトをインプリメントすると、テンポラリファイルやbitファイルが家と同じフォルダに作られるという問題に遭遇した。この問題の解決方法をブログに書 いた)
Spartan3E の乗算器
(このところ、乗算をしているので、私としては初めてSpartan3Eの乗算器をつかったは ず。。。ということで確かめてみた)
ISE11.4 へのアップデート
(twitter のNafitafuさんのつぶやきでISE11.4が出たことを知ったので、早速、ISE11.3を立ち上げてXilinx Updataをした)
ISE のMAPのプロパティのIOBのFFを使うオプションがデフォルトでOFFになっていた
(な ひたふさんのWebサイトを見てびっくりした。ISE11のMAPのプロパティの”Pack I/O Registers/Latches into IOBs”がデフォルトでOFFになっているとのことだった。今、自分のプロジェクトを見てみたが、OFFになっていた。ショック!!!全く気がつかな かった。これは危ない)
ISE11.5 アップデータが出ています
(Xilinx ISEのバージョン11.5のアップデータが出ています。ツイッターで、すすたわりさんに教えてもらいました)
ISE12.1 が出ていました
Xilinx社のFPGA用ツールの新しいバージョンISE12.1が出ていました。
ISE12.1 の疑問
ISE12.1の疑問に思うことについて列挙します。パーサーエラー以外は勘違いでした。
ISE12.1の疑問2と感想
defparamを使うとXSTでエラーが出ることについての考察。必ずしも、defpram を使ったからエラーになるわけではないようだ。
Intelligent Clock Gatingを確かめる
ISE12.1で新しく加わった機能のIntelligent Clock Gatingの触りをやってみようと思う。今回はそこまではやらないで、インプリメントの仕方のみとする。比べてみたが、回路規模が小さいせいか違いがよくわからなかっ た。
ISE12.1 の日本語版資料アップデート
ISE12.1の日本語版資料アップデートがXilinxのダウンロードサイトにあった。早速 ダウンロードして、リリースノートの通りにアップデートした。
Windows7 64ビット版でのISE12.1 WebPACKのライセンスの取得
Windows7 64ビット版でのISE12.1 WebPACKのインストールをしていたが、ライセンスがもらえなくて苦労してしまった。(なお、ISE12.1はWindows7に正式対応していないので、自己責任で お願いします)その場合のライセンスの取得方法。
 ISE Design Suite 12.2
XilinxのダウンロードページにISE Design Suite 12.2が出ています。
 ISE12.3 
        ISE12.3が出ていた。
 ISE のWindows7対応
正式対応していないISEのWindows7での動作状況
 ISE12.4 が出ています
ISE12.4が出ていた。
 VHDL のパッケージファイルがISEに認識されずにエラーが出る 
VHDLでパラメータやprocedure, functionなどを書いたパッケージファイルをVHDLのファイルでuseしているときに、パッケージをプロジェクトに入れているにもかかわらず、packageがな いとエラーが出てしまった。
Project NavigatorにVHDLのpackageを認識させる方法。
 ISE13.1 が出ました。ダウンロード、インストール終了 
昨日の3月1日にISE13.1が出ました。アプロさん、教えていただいてありがとうございま した。Spartan-6ではISE12.4のインプリメント結果とさがあった。
ISE13.1をテスト。ISimを起動してRe-lunchボタンをテスト。
 ISE13.1(Project Navigator比較) 
前回、Spartan-6ではインプリントの結果が異なったようだが、Spartan-3Aで はどうかな?と思って、Spartan-3A Starter Kitのカメラ表示回路でテストしてみた。
ISE13.1のProject NavigatorにはIPを最新のバージョンに変更できる機能が付いた。Update Core to Latest Versionだ。
 ISE Design Suite 13 の新機能 
ISE Design Suite 13の新機能について。

 ISE14.1 が出ています 
 ISE14.1 の新機能についてのツイート
SDKがWebPACKでも使えるようになりました。
 XPS13.1 入のISE13.4プロジェクトをISE14.1に変換 
今回は、ISE13.4の”AXI4マスタIPの作製3(インプリメント)”で作ったXPSが 入っているプロジェクトをISE14.1に変換してみた。
このプロジェクトでのISE13.4からISE14.1へのプロジェクト変換では、完全には変 換できずに手動による変換が必要だった。
 ISE14.1, 14.2 で”ERROR:MapLib:979 - LUT5 symbol”が出る
単 体シミュレーションは通ったのだが、ISE14.1 (Project Navigator) でインプリメントするところで、BitGenがエラーになってしまう。エラーは、”ERROR:MapLib:979 - LUT5 symbol”とそれに類似しているエラーがたくさん出る。ISE14.1だったので、ISE14.2でやってみても同様にエラーだった。

LinuxでISEを使う 1(VirtualBox上のUbuntuを使ってISE14.4をインストール)
ZedBoard用のLinux カーネルコンパイルのためにVirtualBox上にUbuntu12.10 32ビット版をインストールした。
このUbuntu12.10にせっかくなので、ISE14.4 をインストールしてみようと思う。
ISE14.4をインストールして、ケーブル・ドライバをインストールし、ISE、PlanAhead、Vivado でサンプル・プロジェクトをインプリメントできた。
LinuxでのISEや PlanAheadにXPSプロジェクトを入れた時のエラー
現在、Linux上で、Digilent社のZedBoardのLinuxサンプル、 ZedBoard_OOB_Design.zip をダウンロードして展開し、ISEのプロジェクトを作成して、ZedBoard_OOB_Design\hw\xps_proj ディレクトリのsystem.xmp をISEのプロジェクトに入れてインプリメントを行った。(LinuxはUbuntu12.10、ISE14.4)
そうしたら論理合成時にエラーが発生した。
LinuxのSDK14.4 でコンパイルエラー1
”LinuxでのISEやPlanAheadにXPSプロジェクトを入れた時のエラー”で、 /usr/bin/make を/usr/bin/gmake にリンクして、ISEプロジェクト内のXPSプロジェクトをインプリメントすることができた。
しかし、今回はSDKでエラーが発生した。エラーの内容は、arm-xilinx-eabi-gcc が見つからないというものだった。
LinuxのSDK14.4 でコンパイルエラー2(解決編)
Xilinx_ISE_DS_Lin_14.4_P.49d.3.0/CodeSourcery /lin ディレクトリの xilinx-2012.03-79-arm-xilinx-linux-gnueabi.bin をインストールし、xilinx-2012.03-83-arm-xilinx-eabi.bin もインストールした。
ターミナルから、xsdk を入力して、SDKを立ち上げ、プロジェクトをクリーンしたら、コンパイルが通った。
Linux のSDK14.4 からZedBoard をコンフィグレーションし、ソフトを起動した
前回、SDK14.4でコンパイルすることができたので、ZedBoardをコンフィグレー ションしてみたが、Linux上のiMPACTではZedBoard内蔵コンフィグ回路ではコンフィグレーションすることができなかった。
Digilent社のXUP USB-JTAG Programming Cable を使用すると、問題なくコンフィグレーションが成功した。
Linux上のSDKで ZedBoard_OOB_Desgin のLinuxソフトウェアをコンパイル
ZedBoard_OOB_Desgin のLinuxソフトウェアをコンパイルできるかどうかを確かめたが、エラーでコンパイルすることが出来なかった。Xilinx ARM GNU/Linux Toolchain を選択したところ、コンパイルができるようになった。
Linux上のSDKで ZedBoardのLinuxへリモートデバック
Ubuntu12.10上で動作するSDK14.4から、ZedBoard上で動作する Linuxにリモートデバックすることができた。

インプリメントとシミュレー ションでのRAM及びROMの初期値
アドレスと設定値を保存しておくテキストファイル vdma_reg_set.txt の値を使用して、AXI4 Lite Masterアクセスを行う。そのテキストファイルでの初期値の設定方法。

ISE14.7で”ERROR:MapLib:979 - LUT? symbol”が出た時の応急対処
ISE14.1, 14.2 で”ERROR:MapLib:979 - LUT5 symbol”が出る“というブログ記事を書きましたが、またISE14.7 で、”ERROR:MapLib:979 - LUT6 symbol”が出てしまいました。
MAPのプロパティをいじってみましたがダメでした。

ISE 14.7 を Windows 10 で動かす方法
ISE 14.7 を Windows 10 で動かす方法です。



inserted by FC2 system