SOPC Builder

SOPC Builderを使ってみる1
(日 昇テクノロジーのMAX II/Cyclone IIボードのマニュアル2009/2/26を参考にして、SOPC Builder を試してみることにした。NiosⅡプロセッサを追加してSOPC Builder でGenerateボタンをクリックする。System generationが成功するまで)
SOPC Builderを使ってみる2(NiosⅡのインスタンシエーション)
(次 にNiosⅡのインスタンシエーションをする。日昇テクノロジーのMAX II/Cyclone IIボードのマニュアル2009/2/26では、回路図(BDF)でシンボルを読み込んでIOポートを付けたが、私はVerilogでインスタンシエー ションを行うことにする。Verilog2001も使えました)
SOPC Builderを使ってみる3(IOピンやタイミング制約を加えてテスト)
(今 度はIOピンや動作周波数などのタイミング制約を入力してコンパイルし、テストしてみる。まずは、”QuartusⅡでSignalTapⅡを試してみる までの準備5(入力、出力ピンの制約とタイミング制約)”を参照してPin Plannerを立ち上げて、IOピンの配置を制約する。タイミングの制約も入れて、TimeQuest Timing Analyzerでタイミングをチェック)
Avalon-MMスレーブペリフェラル1(ダイナミック点灯7セグLED)
(FPGA 技術No.5の備州長船さんの第3章 THE AVALONM@STER(Avalon-MMスレーブペリフェラルの作成方法)を参考に、ダイナミック7セグメントLED用のAvalon-MMスレー ブペリフェラルを試しに作成中だ。まずはVerilogファイルの作成をした。トップをAvalonMM_Slave_Dyna7seg.vとして AvalonバスのRead、Writeを実装して、7セグメントLEDのダイナミック点灯回路も実装した。)
Avalon-MMスレーブペリフェラル2(SOPC Builder)
(前回は8個のダイナミック点灯7セグメントLEDを制御するAvalon-MMスレーブペリフェラルのVerilogコードを作成したが、これをSOPC Builderに登録する。QuartusⅡに戻ってコンパイルした。コンパイル成功。)
Avalon-MMスレーブペリフェラル3(Quartus2でのコンパイル)
(前回、SOPC Builderで7セグメントLEDのダイナミック点灯回路を追加して生成したので、今回はトップのVerilogファイルにダイナミック点灯7セグメントLEDの出力ポートを追加してコンパイルする。)
Avalon-MMスレーブペリフェラル4(Nios2 IDEで7セグLEDをテスト)
(ダイナミック点灯7セグメントLEDのAvalon-MMスレーブペリフェラルをNios2 IDEでファームを作って動作チェックをしてみることにした。Avalon-MMスレーブペリフェラルを実装することができた)

inserted by FC2 system