Nios2 EDS

NiosⅡ 9.0 IDE を使ってみる1
(日昇テクノロジーのMAX II/Cyclone IIボードのマニュアル2009/2/26の76ページ、第6章に従ってNiosⅡ 9.0 IDEを使ってみることにする。takepon256さんに教えていただいてビルドできました)
NiosⅡ 9.0 IDE を使ってみる2(SDRAMが動作しない)
(”NiosⅡ 9.0 IDE を使ってみる1”でSDRAMのVerifyがエラーになって先に進めなくて、いろいろやりました。原因は、SDRAM_Dの宣言をinout とする必要があるところをoutputにしてしまったせいでした)
NiosⅡ 9.0 IDE を使ってみる3(SDRAMが動作しない原因を追及がわからず...わかりました)
(”NiosⅡ 9.0 IDE を使ってみる2(SDRAMが動作しない)”でSDRAMのReadデータが出ていないことがわかった。今回は何とかしてSDRAMを動作させてみたい。 ということでやっていますが、原因は上に書いてあります。くりさんありがとうございました)
NiosⅡ 9.0 IDE を使ってみる4(インターフェースボードのLEDの点灯テスト)
(今度はテストできるはず?なのに、うまく行かないインターフェースボードのLEDをテストしてみることにした。うまくいきました。またまた、くりさんありがとうございました)
NiosⅡ 9.0 IDE を使ってみる5(NiosⅡの設定を変更してみる)
(Nios Ⅱのコアを入れ替えてみることにした。NiosⅡにコアは3種類あって、それぞれ機能が違っている。今は一番機能の多く、一番ロジックを使用するコアを使 用してる。今回は2番目のNiosⅡ/sに変更した。これはいままでのNiosⅡ/f に比べて、データキャッシュやバレルシフタなどがない。SignalTap2でバーストするかどうか見てみた)
NiosⅡ 9.0 IDE を使ってみる6(NiosⅡの設定を変更してみる2)
(今度は最後に残った軽量コアNiosⅡ/eでやってみることにした。ついでにBoard_diagのREADME.txtに従ってexboard_led_pio というLEDの名前をデフォルトのled_pio に変更した。)
inserted by FC2 system