FPGA内蔵マイクロコントローラ

openMSP430のGCC toolchain
16ビットくらいのマイクロコントローラを探していたところ、ツイッターで@kawanamioさんにopenMSP430を奨めていただいた。openMSP430は、OpenCoresのLGPLのプロセッサだ。LGPLということで、openMSP430を使って作った回路のソースコード開示義務はないはずなので、都合が良い。openMSP430のtoolchianをダウンロードしてインストールした。
 openMSP430のSpartan3 Stater Kitへのインプリメント
openmsp430\trunk\fpga\xilinx_diligent_s3boardにSpartan3 Stater Kit用のプロジェクトが入っているようなので、これをやってみることにした。インプリメントが成功した。
 openMSP430をSpartan3 Stater Kitで動作させた
前回で、Spartan3 Stater Kit用のopenMSP430がインプリメントできたので、今回はopenmsp430\trunk\fpga\ xilinx_diligent_s3board\software\leds のソフトウェアサンプルを動作させた。
 openMSP430のDE1へのインプリメント
前回は、openMSP430をSpartan3 Stater Kitで動作させたが、実はAlteraとLatticeのFPGA用のプロジェクトも入っている。今回は、AlteraのDE1用のプロジェクトをインプリメントしてみた。
 openMPS430のデバック
openMSP430のデバックは、openmsp430\trunk\tools\bin のopenmsp430-gdbproxyやopenmsp430-minidebugを使用するのだと思う。デバックはうまく行かなかった。
 openMSP430のシミュレーション1
Spartan3 Stater KitでインプリメントしたopenMSP430のシミュレーションを試みた。今回はシミュレーションを行うことができなかった。
 openMSP430のシミュレーション2(ISimでシミュレーションを試みる1)
openMSP430のシミュレーション1”ではopenMSP430のシミュレーションスクリプトを試してみたが、動作させることが出来なかった。今回はISimでのシミュレーションを試みた。tclを改造して、rom_16x2k.dataを作成するまで。
 openMSP430のシミュレーション3(ISimでシミュレーションを試みる2)
openMSP430のシミュレーション2(ISimでシミュレーションを試みる1)”の続き。いろいろ書き換えたがISimでシミュレーションすることができた。

inserted by FC2 system