Doxygen

Doxygenを使ってVHDLソースコードをドキュメント化してみました
(Doxygenを使用してVHDLソースコードにドキュメントを書き込む方法について書いてある)
Doxygenを使ってVHDLソースコードをドキュメント化してみました2
(EXTRACT_ALL タグをYESにして、ドキュメントが書き込まれていないVHDLコードでもある程度ドキュメント化する方法。生成されるコラボレーション図のサンプル付)
DoxygenでSHIFT-JISを使用する
(DoxygenでSHIFT-JISを使用する方法)
SystemVerilog、 VerilogをDoxygenでドキュメント化するVHDLはDoxygenでドキュメント化できるが、VerilogもDoxygenでドキュメント 化したいと思っていた。以前、Verification Engineerの戯言さんの”Doxygen Filter for System Verilog 2.3.0 Released”の記事を見て、気になっていたので、SystemVerilog、VerilogをDoxygenでドキュメント化してみることにし た。この記事はその覚書だ。
inserted by FC2 system