Altera Tools Tutorial
アルテラツールのチュートリアルというか、私がアルテラのツールを試してみた記録(いままでカテゴリ別になっていて見にくかったので、時系列順にした)

QuartusⅡでSignalTapⅡを試してみるまでの準備1(テキストエディタの日本語表示)
Veritak でChipScopeとの協調検証を試してみたが、AlteraのQuartusⅡのSignalTapⅡでも同様にVCDファイルを出力できるようなの で、試してみることにした。使用するプロジェクトはキャラクタ・ディスプレイ・コントローラを使用し、Xilinx版からAltera版に書き換えることにした。SignalTapⅡはWeb版でも使えるそうだ。QuartusⅡで日本語を表示する方法
QuartusⅡでSignalTapⅡを試してみるまでの準備2(BRAMを書き換える)
(キャ ラクタ・ディスプレイ・コントローラはframe_buffer.v とchar_gen_rom.v にBRAMを使用しているので、これをAltera用に書き換える必要がある。まずは、frame_buffer.v から書き換えることにする。これは、Dual-Port Memoryをインスタンシエーションしている。)
QuartusⅡでSignalTapⅡを試してみるまでの準備3(キャラジェネROMデータをMIFに変換)
(XilinxのRAMBプリミティブの初期値をAlteraのMIFファイルに変換した)
QuartusⅡでSignalTapⅡを試してみるまでの準備4(MWPIMでキャラクタ・ジェネレータROMを生成)
(前回でMIFファイルが出来たと思ったので、MegaWizard Plug-In Managerで1-PROTのROMを生成して、ROMパターンファイルとして、この前のMIFファイルを指定する。
QuartusⅡでSignalTapⅡを試してみるまでの準備5(入力、出力ピンの制約とタイミング制約)
(” QuartusⅡでSignalTapⅡを試してみるまでの準備4(MWPIMでキャラクタ・ジェネレータROMを生成)”でエラーなくコンパイルするこ とができた。今回はまだ制約を書いていなかったので、入力、出力ピンの制約とタイミング制約を書いてみることにする。
QuartusⅡでSignalTapⅡを試してみるまでの準備6(入力、出力ピンの制約とタイミング制約2)
(”QuartusⅡでSignalTapⅡを試してみるまでの準備5(入力、出力ピンの制約とタイミング制約)”でTimeQuest をいじってみたが、もう少しいじってみた。制約ファイルSDFの書式)
QuartusⅡでSignalTapⅡを試してみるまでの準備7(実際に動作させてみた)
実際に日昇テクノロジーのボードを接続して、QuartusⅡのProgrammer でコンフィギュレーションした。コンフィグ後、一発で表示ができた。うれしい。。。)
SignalTapⅡを使ってみる1(TalkBack機能をONにしてSignalTapⅡを起動)
("QuartusⅡでSignalTapⅡを試してみるまでの準備7(実際に動作させてみた)”で、キャラクタ・ディスプレイ・コントローラが動作したので、いよいよSignalTapⅡを試してみることにした。
TalkBackをONにするとSignalTapⅡが起動できた。)
SignalTapⅡを使ってみる2(SignalTapⅡをやってみたがエラーで悩む)
(前回、SignalTapⅡが起動できたので、信号をキャプチャしようとするが、incremental compilation していると言ってエラーが出ていた。回避方法を発見し、波形をキャプチャすることができた。)
SignalTapⅡを使ってみる3(VCDファイルを出力して、シミュレーションの波形と比較)
(”SignalTapⅡを使ってみる2(SignalTapⅡをやってみたがエラーで悩む)”で、SignalTapⅡの波形をキャプチャすることができたので、VCDファイルを出力して、Veritakでシミュレーション波形と比べてみることにした。)

SOPC Builderを使ってみる1
(日 昇テクノロジーのMAX II/Cyclone IIボードのマニュアル2009/2/26を参考にして、SOPC Builder を試してみることにした。NiosⅡプロセッサを追加してSOPC Builder でGenerateボタンをクリックする。System generationが成功するまで)
SOPC Builderを使ってみる2(NiosⅡのインスタンシエーション)
(次 にNiosⅡのインスタンシエーションをする。日昇テクノロジーのMAX II/Cyclone IIボードのマニュアル2009/2/26では、回路図(BDF)でシンボルを読み込んでIOポートを付けたが、私はVerilogでインスタンシエー ションを行うことにする。Verilog2001も使えました)
SOPC Builderを使ってみる3(IOピンやタイミング制約を加えてテスト)
(今 度はIOピンや動作周波数などのタイミング制約を入力してコンパイルし、テストしてみる。まずは、”QuartusⅡでSignalTapⅡを試してみる までの準備5(入力、出力ピンの制約とタイミング制約)”を参照してPin Plannerを立ち上げて、IOピンの配置を制約する。タイミングの制約も入れて、TimeQuest Timing Analyzerでタイミングをチェック)
NiosⅡ 9.0 IDE を使ってみる1
(日昇テクノロジーのMAX II/Cyclone IIボードのマニュアル2009/2/26の76ページ、第6章に従ってNiosⅡ 9.0 IDEを使ってみることにする。takepon256さんに教えていただいてビルドできました)
NiosⅡ 9.0 IDE を使ってみる2(SDRAMが動作しない)
(”NiosⅡ 9.0 IDE を使ってみる1”でSDRAMのVerifyがエラーになって先に進めなくて、いろいろやりました。原因は、SDRAM_Dの宣言をinout とする必要があるところをoutputにしてしまったせいでした)
NiosⅡ 9.0 IDE を使ってみる3(SDRAMが動作しない原因を追及がわからず...わかりました)
(”NiosⅡ 9.0 IDE を使ってみる2(SDRAMが動作しない)”でSDRAMのReadデータが出ていないことがわかった。今回は何とかしてSDRAMを動作させてみたい。 ということでやっていますが、原因は上に書いてあります。くりさんありがとうございました)
NiosⅡ 9.0 IDE を使ってみる4(インターフェースボードのLEDの点灯テスト)
(今度はテストできるはず?なのに、うまく行かないインターフェースボードのLEDをテストしてみることにした。うまくいきました。またまた、くりさんありがとうございました)
NiosⅡ 9.0 IDE を使ってみる5(NiosⅡの設定を変更してみる)
(Nios Ⅱのコアを入れ替えてみることにした。NiosⅡにコアは3種類あって、それぞれ機能が違っている。今は一番機能の多く、一番ロジックを使用するコアを使 用してる。今回は2番目のNiosⅡ/sに変更した。これはいままでのNiosⅡ/f に比べて、データキャッシュやバレルシフタなどがない。SignalTap2でバーストするかどうか見てみた)
NiosⅡ 9.0 IDE を使ってみる6(NiosⅡの設定を変更してみる2)
(今度は最後に残った軽量コアNiosⅡ/eでやってみることにした。ついでにBoard_diagのREADME.txtに従ってexboard_led_pio というLEDの名前をデフォルトのled_pio に変更した。)

Avalon-MMスレーブペリフェラル1(ダイナミック点灯7セグLED)
(FPGA 技術No.5の備州長船さんの第3章 THE AVALONM@STER(Avalon-MMスレーブペリフェラルの作成方法)を参考に、ダイナミック7セグメントLED用のAvalon-MMスレー ブペリフェラルを試しに作成中だ。まずはVerilogファイルの作成をした。トップをAvalonMM_Slave_Dyna7seg.vとして AvalonバスのRead、Writeを実装して、7セグメントLEDのダイナミック点灯回路も実装した。)
Avalon-MMスレーブペリフェラル2(SOPC Builder)
(前回は8個のダイナミック点灯7セグメントLEDを制御するAvalon-MMスレーブペリフェラルのVerilogコードを作成したが、これをSOPC Builderに登録する。QuartusⅡに戻ってコンパイルした。コンパイル成功。)
Avalon-MMスレーブペリフェラル3(Quartus2でのコンパイル)
(前回、SOPC Builderで7セグメントLEDのダイナミック点灯回路を追加して生成したので、今回はトップのVerilogファイルにダイナミック点灯7セグメントLEDの出力ポートを追加してコンパイルする。)
Avalon-MMスレーブペリフェラル4(Nios2 IDEで7セグLEDをテスト)
(ダイナミック点灯7セグメントLEDのAvalon-MMスレーブペリフェラルをNios2 IDEでファームを作って動作チェックをしてみることにした。Avalon-MMスレーブペリフェラルを実装することができた)


inserted by FC2 system