AlteraのFPGA

Alteraのボードを購入しました
日昇テクノロジーからFPGA/Cyclone II EP2C5Q208ボード とCPLD/FPGA用のインタフェース・ボードとCPLD/FPGA用ダウンロード・ケーブルを購入しました。)
日昇テクノロジーのサンプルを動作させてみた
(とりあえず、購入したAlteraのFPGAボードとUSB Blasterもどきのテストをすることにした。日昇テクノロジーのサンプルのページからサンプルをダウンロードして、一番簡単そうなled_testをやってみることにした。)
 Cyclone III のお勉強1
DE0に使用されているFPGAのCyclone3について、何も知らないので、マニュアルで勉強することにした。Cyclone III デバイス・ハンドブックのChapter 1. Cyclone III デバイス・ファミリの概要を読んで勉強する。
 Cyclone III のお勉強2
Cyclone III のお勉強1の続きで、Cyclone III の特徴を勉強した。
 AlteraのFPGAでのクロック出力とデータ出力1
ilinx でFPGAからSDRAMなどの外部デバイスにクロックを供給する場合は、グローバルクロックからIOブロック(IOB)のDDRレジスタを叩いてクロッ クを生成した。データも同じグローバルクロックラインからクロックを供給されるクロックを使用するものは、IOBのレジスタ(DDR or SDR)を使用するとFPGAから供給するクロックと大体(スキューがあるときがあるから)同期できた。
Altera でのはどうなんだろう?FPGAからSDRAMなどの外部デバイスにクロックを供給する場合のクロックの遅延は?出力するデータの同期はどうなるのか、 IOブロックの中のFFを使用するにはどうするのか?実際にDE0のCyclone III (EP3C16F484C6)で、テストプロジェクトを作って調べてみることにした。
次は、” AlteraのFPGAでのクロック出力とデータ出力2(Assignment Editorを使ってみる1) 


inserted by FC2 system